HOME Corporate Product Verilog VHDL Link Contact Site map


Veilog-HDLでは、一般のプログラム言語と同様に「式」を扱うことができます。
基本的にC言語と同一ですが、Verilog-HDL固有の連接演算やリダクション演算があります。
またC言語で多用した++、−−演算子はありません。

1. 算術演算子

演算子 演算
加算、プラス符号
減算、マイナス符号
乗算
除算
剰余


2.ビット演算子

演算子 演算
~ NOT
AND
OR
Ex_OR
=~^ Ex_NOR


3.リダクション演算子

演算子 演算
AND
~& NANDT
OR
~│ NOR
Ex_OR
=~^ Ex_NOR


4.論理演算

演算子 演算
論理否定
&& 論理AND
論理OR


5.符号演算

演算子 演算
== 等しい
!= 等しくない
=== 等しい(x、zも比較)
!== 等しくない(x、zも比較)


6.関係演算

演算子 演算
<
<= 小または等しい
>
>= 大または等しい


7.シフト演算

演算子 演算
<< 左シフト
>>= 右シフト


8.その他

演算子 演算
?= 条件演算
{} 連接


演算子の優先順位

優先順位は基本的にC言語と同じです。
最上位の優先順位に多くの演算子があります。
これらはすべて単項演算子で、各項の頭につける演算子です。
「論理否定」「リダクション演算子」「符号の演算」が最優先となります。


 


! ~ & ~& | ~| ^ ~^ + - 高い
* / %
{}
<< >>
< <= > >=
== != === !==
& ^ ~^
|
&&
||
?: 低い



Back

HOME Corporate Product Verilog VHDL Link Contact Site map