--
-- Title : (85) 4-Bit Magnitude Comparator
-- File name : 85.vhd
-- Date : 2000/12/08 Ver1.0
-- Company : Future Technology Ltd.
--
--use library
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
-- entity library --
entity U85 is
port(
A : in std_logic_vector(3 downto 0);
B : in std_logic_vector(3 downto 0);
IAB : in std_logic;
IEQ : in std_logic;
IBA : in std_logic;
OAB : out std_logic;
OEQ : out std_logic;
OBA : out std_logic
);
end U85;
-- architecture --
architecture U85 of U85 is
-- signal list --
signal s_ab :std_logic;
signal s_eq :std_logic;
signal s_ba :std_logic;
begin
s_ab <= '1' when (A > B) else '0';
s_eq <= '1' when (A = B) else '0';
s_ba <= '1' when (A < B) else '0';
OAB <= s_ab or (s_eq and ((IAB and not IEQ and not IBA)
or (not IAB and not IEQ and not IBA)));
OEQ <= s_eq and IEQ;
OBA <= s_ba or (s_eq and ((not IAB and not IEQ and IBA)
or (not IAB and not IEQ and not IBA)));
end U85;
|