HOME Corporate Product Verilog VHDL Link Contact Site map


型式74xx688ICのVHDLモデルです。


--
-- Title        : (688) 8-BIT IDENTITY COMPARATORS
-- File name    : 688.vhd
-- Date         : 2000-12-08  Ver1.0
-- Company      : Future Technology Ltd.
--

-- use library --
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;

-- entity list --
entity U688 is
    port (
        A   : in    std_logic_vector(7 downto 0);
        B   : in    std_logic_vector(7 downto 0);
        XG  : in    std_logic;
        EQU : out   std_logic
      );
end U688;

-- architecture --
architecture U688 of U688 is


begin

    EQU <= '0' when ((A=B) and (XG='0')) else '1';

end U688;



Back

HOME Corporate Product Verilog VHDL Link Contact Site map